ARTÍCULO
TITULO

Design of In-Memory Parallel-Prefix Adders

John Reuben    

Resumen

Computational methods in memory array are being researched in many emerging memory technologies to conquer the ?von Neumann bottleneck?. Resistive RAM (ReRAM) is a non-volatile memory, which supports Boolean logic operation, and adders can be implemented as a sequence of Boolean operations in the memory. While many in-memory adders have recently been proposed, their latency is exorbitant for increasing bit-width (O(n)" role="presentation" style="position: relative;">??(??)O(n) O ( n ) ). Decades of research in computer arithmetic have proven parallel-prefix technique to be the fastest addition technique in conventional CMOS-based binary adders. This work endeavors to move parallel-prefix addition to the memory array to significantly minimize the latency of in-memory addition. Majority logic was chosen as the fundamental logic primitive and parallel-prefix adders synthesized in majority logic were mapped to the memory array using the proposed algorithm. The proposed algorithm can be used to map any parallel-prefix adder to a memory array and mapping is performed in such a way that the latency of addition is minimized. The proposed algorithm enables addition in O(log(n))" role="presentation" style="position: relative;">??(??????(??))O(log(n)) O ( l o g ( n ) ) latency in the memory array.

 Artículos similares

       
 
Suo Guang     Pág. 4 - 21
Fault resilience has became a major issue for HPC systems, particularly, in the perspective of future E-scale systems, which will consist of millions of CPU cores and other components. MPI-level fault tolerant constructs, such as ULFM, are being proposed... ver más