Redirigiendo al acceso original de articulo en 22 segundos...
Inicio  /  Cryptography  /  Vol: 3 Par: 3 (2019)  /  Artículo
ARTÍCULO
TITULO

Key Generation for Hardware Obfuscation Using Strong PUFs

Md Shahed Enamul Quadir and John A. Chandy    

Resumen

As a result of the increased use of contract foundries, intellectual property (IP) theft, excess production and reverse engineering are major concerns for the electronics and defense industries. Hardware obfuscation and IP locking can be used to make a design secure by replacing a part of the circuit with a key-locked module. In order to ensure each chip has unique keys, previous work has proposed using physical unclonable functions (PUF) to lock the circuit. However, these designs are area intensive. In this work, we propose a strong PUF-based hardware obfuscation scheme to uniquely lock each chip.

PÁGINAS
pp. 0 - 0
REVISTAS SIMILARES

 Artículos similares